• <b id="yxvk2"></b>

    <wbr id="yxvk2"></wbr><wbr id="yxvk2"></wbr>
  • <wbr id="yxvk2"></wbr>
      <u id="yxvk2"></u>

        <video id="yxvk2"></video>

        西門子模塊配件代理總經(jīng)銷商

        西門子: PLC
        模塊: 代理商
        單價(jià): 面議
        發(fā)貨期限: 自買家付款之日起 天內(nèi)發(fā)貨
        所在地: 直轄市 上海
        有效期至: 長期有效
        發(fā)布時(shí)間: 2023-11-30 02:31
        最后更新: 2023-11-30 02:31
        瀏覽次數(shù): 128
        采購咨詢:
        請賣家聯(lián)系我
        發(fā)布企業(yè)資料
        詳細(xì)說明

        西門子模塊配件代理總經(jīng)銷商圖片_20231128170640.jpg此參數(shù)用于指定激活數(shù)字量輸入的電平??梢赃x擇下列選項(xiàng):電平 含義高電平有效 相應(yīng)數(shù)字量輸入在置位時(shí)激活。低電平有效 相應(yīng)數(shù)字量輸入在未置位時(shí)激活??蔀閿?shù)字量輸入的以下功能組態(tài)此參數(shù):● 門啟動/停止(電平觸發(fā))● 在信號 N 處啟用同步邊沿選擇此參數(shù)可用于指定觸發(fā)組態(tài)功能的數(shù)字量輸入的邊沿類型??筛鶕?jù)所選的功能選擇下列選項(xiàng):● 在上升沿● 在下降沿可為數(shù)字量輸入的以下功能組態(tài)此參數(shù):● 門啟動(邊沿觸發(fā))通過數(shù)字量輸出的參數(shù)分配,可以指定數(shù)字量輸出的切換條件??梢赃x擇下列選項(xiàng):數(shù)字量輸出的功能 含義在比較值和計(jì)數(shù)上限之間 如果比較值 <= 計(jì)數(shù)器值 <= 計(jì)數(shù)上限,則相應(yīng)的數(shù)字量輸出激活在比較值和計(jì)數(shù)下限之間 如果計(jì)數(shù)下限值 <= 計(jì)數(shù)器值 <= 比較值,則相應(yīng)的數(shù)字量輸出激活在比較值 0 和 1 之間 如果比較值 0 <= 計(jì)數(shù)器值 <= 比較值 1,則數(shù)字量輸出 DQ1 激活在比較值持續(xù)一個(gè)脈寬時(shí)間 計(jì)數(shù)器值與比較值相等時(shí),相應(yīng)數(shù)字量輸出會在組態(tài)的時(shí)間內(nèi)以及在計(jì)數(shù)方向上處于激活狀態(tài)。無功能的數(shù)字量輸出 無論對 CPU STOP 模式的響應(yīng)如何,都會將相應(yīng)數(shù)字量輸出設(shè)為 0。說明只有為數(shù)字量輸出 DQ0 選擇了“無功能的數(shù)字量輸出”功能,才能為數(shù)字量輸出 DQ1 設(shè)置“在比較值 0 和 1 之間”功能。比較值 0通過比較值的參數(shù)分配,可以指定數(shù)字量輸出 DQ0 因所選比較事件而切換的計(jì)數(shù)器值或位置值。必須輸入一個(gè)大于等于計(jì)數(shù)下限值的整數(shù) (DINT)。如果使用 DQ“在比較值 0 和比較值 1之間”功能,則比較值 0 必須小于比較值 1。比較值 1通過比較值的參數(shù)分配,可以指定數(shù)字量輸出 DQ1 因所選比較事件而切換的計(jì)數(shù)器值或位置值。必須輸入一個(gè)小于等于計(jì)數(shù)上限的整數(shù) (DINT)。如果使用 DQ“在比較值 0 和比較值 1 之間”功能,則比較值 0 必須小于比較值 1。截圖20230815142206.png使用此參數(shù)指定所選功能有效時(shí)的計(jì)數(shù)方向:可以選擇下列選項(xiàng):計(jì)數(shù)方向 含義雙向 各數(shù)字量輸出的比較和切換與計(jì)數(shù)方向或位置值是否增加或減少無關(guān)。向上 只有計(jì)數(shù)器向上計(jì)數(shù)或位置值增加時(shí),才會執(zhí)行相應(yīng)數(shù)字量輸出的比較和切換。向下 只有計(jì)數(shù)器向下計(jì)數(shù)或位置值減少時(shí),才會執(zhí)行相應(yīng)數(shù)字量輸出的比較和切換??蔀橐韵鹿δ芙M態(tài)參數(shù):● 在比較值 0 和 1 之間● 在比較值持續(xù)一個(gè)脈寬時(shí)間脈沖持續(xù)時(shí)間(增量編碼器或脈沖編碼器)通過對“在比較值持續(xù)一個(gè)脈寬時(shí)間”功能的脈沖寬度的參數(shù)分配,可以指定相應(yīng)數(shù)字量輸出處于激活狀態(tài)的毫秒數(shù)。如果輸入“0”且計(jì)數(shù)器值與相應(yīng)比較值相等,則數(shù)字量輸出會在下一個(gè)計(jì)數(shù)脈沖出現(xiàn)之前激活。脈沖持續(xù)時(shí)間(SSI juedui編碼器)通過對“在比較值持續(xù)一個(gè)脈寬時(shí)間”功能的脈沖寬度的參數(shù)分配,可以指定相應(yīng)數(shù)字量輸出處于激活狀態(tài)的毫秒數(shù)。滯后(采用增量的形式)通過滯后的參數(shù)分配,可以指定比較值前后的范圍。在滯后范圍內(nèi),計(jì)數(shù)器值或位置值超出該范圍之前,數(shù)字量輸出無法重新切換。編碼器可在某個(gè)位置停止,輕微運(yùn)動會引起計(jì)數(shù)器值或位置值圍繞此位置波動。在比較值或計(jì)數(shù)限值介于此波動范圍內(nèi)時(shí),如未使用滯后,則在相應(yīng)頻率下將接通和切斷相應(yīng)的數(shù)字量輸出。滯后可防止這些不必要的切換操作。無論滯后值是多少,滯后范圍都在達(dá)到計(jì)數(shù)上/下限時(shí)結(jié)束。如果輸入“0”,則禁用滯后反轉(zhuǎn)方向(SSI juedui編碼器)使用該參數(shù)反轉(zhuǎn) SSI juedui編碼器提供的值,從而可使檢測到的編碼器方向適應(yīng)電機(jī)旋轉(zhuǎn)方向。說明此參數(shù)僅對幀中位置值的 LSB 與 MSB 范圍內(nèi)的值有效。幀長度通過幀長度的參數(shù)分配,可以指定所使用的 SSI juedui編碼器的 SSI 幀位數(shù)??稍?SSI juedui編碼器的數(shù)據(jù)手冊中找到此編碼器的幀長度。幀長度中還包含了特殊位。奇偶校驗(yàn)位不在幀長度中計(jì)數(shù)。代碼類型使用代碼類型的參數(shù)分配指定編碼器提供二進(jìn)制碼還是格雷碼??梢赃x擇下列選項(xiàng):代碼類型 含義格雷碼 SSI juedui編碼器以格雷碼提供的位置值轉(zhuǎn)換為二進(jìn)制碼。二進(jìn)制碼 SSI juedui編碼器返回的值不進(jìn)行轉(zhuǎn)換。傳輸率通過傳輸速率的參數(shù)分配,可以指定工藝模塊與 SSI juedui編碼器之間的數(shù)據(jù)傳輸速率。最大傳輸速率取決于電纜長度和 SSI juedui編碼器的技術(shù)規(guī)范。更多信息,請參見編碼器說明。通過單穩(wěn)態(tài)觸發(fā)器時(shí)間的參數(shù)分配,可以指定兩個(gè) SSI 幀之間的空閑時(shí)間。組態(tài)的單穩(wěn)態(tài)觸發(fā)器時(shí)間必須大于或等于所使用的 SSI juedui值編碼器的單穩(wěn)態(tài)觸發(fā)器時(shí)間。在 SSI juedui編碼器的技術(shù)規(guī)范中可找到該值。說明如果選擇了“自動”(Automatically) 選項(xiàng),單穩(wěn)態(tài)觸發(fā)器時(shí)間將自動適應(yīng)所用的編碼器。在等時(shí)同步模式下,“自動”(Automatically) 選項(xiàng)對應(yīng)于單穩(wěn)態(tài)觸發(fā)器時(shí)間 64 μs。如果所用 SSI juedui編碼器的單穩(wěn)態(tài)觸發(fā)器時(shí)間小于 64 μs,您可通過選擇具體編碼器值來實(shí)現(xiàn)更快的同步時(shí)間。奇偶校驗(yàn)通過奇偶校驗(yàn)的參數(shù)分配,可以指定 SSI juedui編碼器是否傳送一個(gè)奇偶校驗(yàn)位。舉例來說,如果已組態(tài)具有奇偶校驗(yàn)功能的 25 位編碼器,則工藝模塊將讀取 26 位。在反饋接口中通過使用位 EXT_F 表示奇偶校驗(yàn)錯誤。位置值的 LSB 位號此參數(shù)用于在 SSI juedui編碼器的幀中指定位置值的 LSB(最低有效位)位號。這樣就可以限制提供位置值的幀的范圍。該值必須小于位置值的 MSB 位號。位置值的 MSB 和 LSB 位的位號差必須小于 32。說明如果已選擇代碼類型“格雷碼”(Gray),則只將位置值的 LSB 與 MSB 范圍內(nèi)的值轉(zhuǎn)換為二進(jìn)制碼。

        相關(guān)西門子模塊產(chǎn)品
        相關(guān)西門子模塊產(chǎn)品
        相關(guān)產(chǎn)品
         
        国产又色又爽又刺激的视频_国产欧美综合精品一区二区_欧美精品第一区二区三区_三级片中文字幕在播放
      1. <b id="yxvk2"></b>

        <wbr id="yxvk2"></wbr><wbr id="yxvk2"></wbr>
      2. <wbr id="yxvk2"></wbr>
          <u id="yxvk2"></u>

            <video id="yxvk2"></video>